ip upgradeを実行後generate bitstreamをすると以下のエラーがおきました。

[Place 30-415] IO Placement failed due to overutilization. This design contains 89 I/O ports
 while the target  device: xczu3eg package: sbva484, contains only 70 available user I/O. The target device has 297 usable I/O pins of which 227 are already occupied by user-locked I/Os.
 To rectify this issue:
 1. Ensure you are targeting the correct device and package.  Select a larger device or different package if necessary.
 2. Check the top-level ports of the design to ensure the correct number of ports are specified.
 3. Consider design changes to reduce the number of I/Os necessary.

upgrade前にはエラーは起こりませんでした。また、blockdesignはこちらです。画像の説明をここに入力

更新したipはこちらになります。
画像の説明をここに入力